株式会社テクトス

機能的グローバル変数 [ Functional Global ]

複数VI間でデータ共有を行う時に、グローバル変数が利用されます。

機能的グローバル変数の仕組みを使うと、サブVIにグローバル変数の機能を持たせる事が出来ます。

グローバル変数に比べて、作成する手間がかかりますが、拡張性が高いので、様々な機能を追加することが出来ます。

○使い方

"Mode"を"Set"で実行すると、"Data IN"から入ったデータを記憶します。

"Mode"を"Get"で実行すると、"Data Out"覚えているデータを出力します。

●サンプルプログラム VI"Functional Global.vi"(LabVIEW ver. 8.6版)ダウンロード

コネクタ画像

フロントパネル画像

○仕組み

一周しか回らないwhileループに、初期化されていないシフトレジスタが配置されています。

このシフトレジスタに、データを書き込み記憶させます。

シフトレジスタの内容は、VIが終了しても、メモリに残るため、次に実行した時に前回のデータを取り出せるのです。

ブロックダイアグラム画像

テストプログラム VI"Functional Global_Test.vi"(LabVIEW ver. 8.6版)ダウンロード

テスト用VIも作りましたのでご利用ください。